实验
血型配对指示器电路设计
学时 1
实验介绍 实验指南 实验资料

一、 实验目的

1. 了解选择器集成电路的功能及使用

2. 熟悉用选择器解决组合逻辑问题的设计方法

二、 实验器材

1. 74LS153

2. 2输入与门

3. 数字常量

4. 指示灯_蓝

5. VCC

6. Ground

三、 设计要求

利用4选1数据选择器74LS153设计一个输血者血型和受血者血型符合输血规则的逻辑电路

人的血型有O、A、B、AB四种。输血时输血者的血型与受血者血型必须符合图1中用箭头指示的授受关系。


1  血型授受关系

四、 设计流程

1. 74LS153

74LS153是双4选1数据选择器/数据分配器,当做数据选择器时,根据S0和S1的配置,选择要进行的数据输入端口,给予给定端口输入,对应输出便可以得到想要的结果。当做数据分配器时,根据I0~I3的端口配置情况,选择要输出的端口,当给予S0、S1数据信号时,输出端便可以得到想要的结果。74LS153逻辑图及真值表信息如图2所示。


2  74LS153逻辑图及真值表

2. 血型匹配器原理

(1)首先为血型编码,00为O型,01为A型,10为B型,11为AB型。

(2)从输血规则可知,A型血能输给A、AB型,B型血能输给B、AB型,AB型只能输给AB型,O型血能输给所有四种血型。设供血者血型编码为XY,受血者血型编码为CD,根据输血规则,得到其卡诺图,如图3所示:

CD

XY

00

01

11

10

00

1

1

1

1

01

0

1

1

0

11

0

0

1

0

10

0

0

1

1

3  输血规则的卡诺图

化简得到如下血型配对指示器逻辑表达式如式(1)所示:

 

 Z=X'Y'+X'YD+XY'C+XYCD                       (1)

令公式(1)中的X=S1Y=S0,则(1)式输出如(2)所示:


Z=1·(S1'S0')+D·(S1'S0)+C·(S1S0')+CD·(S1S0)                   (2)

由于74LS153的输出表达式为:Za=I0a·(S1'S0')+I1a·(S1'S0)+I2a·(S1S0')+I3a·(S1S0) 

因此,74LS153数据选择器的输入为:I0a=1;I1a=D;I2a=C;I3a=CD。

根据上述分析,选择74LS153中一个单独的4选1数据选择器,将I0a端接高电平,I1a端接D,I2a端接C,I3a端接C和D相与的值,X接入S1端,Y接入S0端,使能端~Eb接低电平时,实现血型配对指示器电路的搭建,其实现原理图如图4所示。


4  血型配对指示器原理图

您还没有登录,请先登录
您还没有登录,请先登录